Skip to content
Commit 7dd5ad2d authored by Thomas Gleixner's avatar Thomas Gleixner
Browse files

Revert "signal, x86: Delay calling signals in atomic on RT enabled kernels"

Revert commit bf9ad37d

. It needs to be better encapsulated and
generalized.

Signed-off-by: default avatarThomas Gleixner <tglx@linutronix.de>
Cc: "Eric W. Biederman" <ebiederm@xmission.com>
Cc: Oleg Nesterov <oleg@redhat.com>
Cc: Sebastian Andrzej Siewior <bigeasy@linutronix.de>
parent 787af64d
0% or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment