Skip to content
Commit 1c60e027 authored by Alexander Dahl's avatar Alexander Dahl Committed by Miquel Raynal
Browse files

mtd: nand: raw: atmel: Fix comment in timings preparation

Looks like a copy'n'paste mistake introduced when initially adding the
dynamic timings feature with commit f9ce2edd

 ("mtd: nand: atmel: Add
->setup_data_interface() hooks").  The context around this and
especially the code itself suggests 'read' is meant instead of write.

Signed-off-by: default avatarAlexander Dahl <ada@thorsis.com>
Reviewed-by: default avatarNicolas Ferre <nicolas.ferre@microchip.com>
Signed-off-by: default avatarMiquel Raynal <miquel.raynal@bootlin.com>
Link: https://lore.kernel.org/linux-mtd/20240226122537.75097-1-ada@thorsis.com
parent 6fb075fc
0% or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment